tần số nhân trên fpga

R

rave1786

Guest
là nó có thể thực hiện frequecy multipier trên fpga một

 
Trong Xilinx tùng It's OK.Xililinx phần có DCM mô-đun.Trong DCM có một PLL mà bạn có thể sử dụng tần số cho phép nhân.

 
ya nhưng mà DCM nguyên thủy là đem lại cho tôi những vấn đề trong thời gian sysnthesis (một số biến thời gian được sử dụng bên trong vẻ nguyên thủy) Nếu u làm cho nó hoạt động như thế nào xin vui lòng cho biết u đã làm mà

 
Chào,

Trong Altera FPGA là inbuilt chức năng.Nó là có hỗ trợ phần cứng như PLL trong xây dựng in Bạn có thể sử dụng nó.

Nếu bạn cần thêm thông tin, liên hệ với tôi,

Kính trọng,

N. Muralidhara

CRL-BEL

 
Hi mindstream, phương pháp mà bạn đang sử dụng để đặt DCM vào dự án của bạn?Chính xác những gì không được thông báo lỗi nói không?

Nếu bạn đang trực tiếp instantiating các thành HDL nguyên thủy của bạn, thì đây là một ví dụ Verilog sử dụng hai DCMs.Nó có thể phức tạp hơn so với những gì bạn cần, nhưng xem nó biên dịch cho bạn, hoặc nếu bạn vẫn nhận được lỗi tổng hợp:
ftopic291961.html # 968.496

 

Welcome to EDABoard.com

Sponsor

Back
Top