Random Numbers Generator

S

StevieChalmers

Guest
Tôi cần một bộ tạo số ngẫu nhiên
viết bằng VHDL để tạo ra số tự nhiên
0-15.Có ai có bất cứ điều gì
tương tự như nó (giống như bất kỳ số tự nhiên
máy phát điện)?

Bất kỳ trợ giúp sẽ được nhiều đánh giá cao.
Cảm ơn trước.

 
http://www.opencores.org/projects.cgi/web/rng_lib/overview
Thư viện này có chức năng để tạo ra chất lượng tốt, số ngẫu nhiên trong một môi trường testbench VHDL.Các chức năng sẽ KHÔNG tổng hợp.

 
hi, nếu các chức năng không có nghĩa là chúng tôi synthesizable cant tải nó lên fpga và nhận thấy nó?Tôi muốn được xác nhận bởi vì dự án năm cuối frenz của tôi có thể yêu cầu máy phát điện số ngẫu nhiên như là một phần của dự án của mình và dự án phải ở cuối có thể được tải về vào trong FPGA và reliaze nó ...cảm ơn

 
LIBRARY IEEE;
SỬ DỤNG IEEE.std_logic_1164.all;

ENTITY psp IS
Port (CLK: in std_logic;
reset: in std_logic;
init_value: in std_logic_vector (8 downto 0);
dout: out std_logic);
END psp;

KIẾN TRÚC rus CỦA psp IS

Signal reg: std_logic_vector (8 downto 0);

BEGIN

quá trình (CLK, đặt lại, init_value)
bắt đầu
nếu đặt lại = '1 'rồi
reg <= init_value;
elsif rising_edge (CLK) sau đó
reg <= (reg (4) xor reg (0)) & (downto reg 8 1);
nếu kết thúc;
kết thúc quá trình;

dout <= reg (0);

END rus;

 
Hi sử dụng Giai đoạn 4-de-bruijn trình tự phát và mất tất cả bốn bit là đầu ra.
Để về de-loại genenerator bruijn "de bruijn trình tự" trong tìm kiếm google.

 
bạn chỉ có thể sử dụng bất kỳ số D-FF (tùy thuộc vào độ dài từ mà bạn cần để tạo trong số ngẫu nhiên của bạn như số Flip flops bằng với số bit per word) thác các FF với sản lượng của giai đoạn cuối kết nối với đầu vào của giai đoạn đầu tiên sau khi cửa XNOR hoặc XOR ..điều này sẽ tạo ra số ngẫu nhiên của n-bit ..bạn có thể sau đó chỉ cần sử dụng VHDL để lấy mã yêu cầu của nó ..

Tôi hy vọng rằng helpls ..
Ahmad,

 
Đây là một câu hỏi thường gặp:
Xem mục 4,10 của http://tech-www.informatik.uni-hamburg.de/vhdl/doc/faq/FAQ1.html

Đối với một cái gì đó synthesizable: tìm ở đây
http://www.fe.infn.it/fisicacomputazionale/ssue/rng/index.htm

 
Mã HDL được đăng trên đây sẽ làm việc, nhưng tôi khuyên bạn nên sử dụng một đăng ký thay đổi lâu hơn.Đăng ký Longer frequenty lặp lại ít hơn.

 

Welcome to EDABoard.com

Sponsor

Back
Top