Prescaler - Điều gì đang xảy ra?

M

Mercury

Guest
Chào!
Tôi đã viết những prescaler VHDL đơn giản nhất có thể (Xilinx webpack 5,2, 9500 CPLD).:

thực thể prescaler là
Port (
rst: in std_logic;
clk_in: in std_logic;
clk_out: out std_logic
);
cuối prescaler;kiến trúc presc của prescaler là

tín hiệu số: std_logic_vector (9 downto 0);

bắt đầu

p00: quá trình (rẽ, clk_in)
bắt đầu
nếu rẽ = '0 'rồi
đếm <= "0000000000";
elsif clk_in'event và clk_in = '1 'rồi
count <= count 1;
nếu kết thúc;
kết thúc quá trình;

clk_out <= count (9);

cuối presc;

Bây giờ, hãy nhìn vào Schematics RTL.Các ouput clk_out là thậm chí không kết nối, tuy nhiên nó được công nhận như là một pin.Điều gì đang xảy ra ở đây???, Bất cứ ai có thể vui lòng giúp đỡ?

Lời chúc mừng tốt đẹp nhất
George Mercury

 
Smartfony Galaxy S6 i Galaxy S6 Edge przykuły uwagę mobilnego rynku. W Internecie można już znależć ich instrukcje obsługi.

Read more...
 
Tôi đã biên dịch thiết kế của bạn dưới Synplify 7.3.1.Tôi được những dòng này vì sdt_logic và ' ' nhà điều hành cần định nghĩa.thư viện ieee;
ieee.std_logic_1164.all sử dụng;
IEEE.std_logic_unsigned.all sử dụng;Trong giao diện RTL, mọi thứ sẽ tốt đẹp.

TurboPC

 
Xin lỗi!Nó có thể không có trả lời câu hỏi của bạn.Tôi chỉ nhận ra rằng bạn muốn có một câu trả lời với Xilinx webpack 5.2.Tôi không có cài đặt nó vào lúc này.Tôi không thể giúp bạn tiếp ...

TurboPC

 

Welcome to EDABoard.com

Sponsor

Back
Top