một lỗi khi chạy ISE.

Q

quan228228

Guest
ERROR THE là

ERROR: PhysDesignRules: 368 - Các <CLKDLL/PSINCDEC> tín hiệu không đầy đủ.Tín hiệu này không phải là lái xe của bất cứ nguồn pin trong thiết kế.
ERROR: PhysDesignRules: 368 - Các <CLKDLL/PSEN> tín hiệu không đầy đủ.Tín hiệu này không phải là lái xe của bất cứ nguồn pin trong thiết kế.
ERROR: PhysDesignRules: 368 - Các <CLKDLL/PSCLK> tín hiệu không đầy đủ.Tín hiệu này không phải là lái xe của bất cứ nguồn pin trong thiết kế.

Thực ra, tôi mở CLKDLL.v tập tin trong thư mục cài đặt ISE, và không thể tìm thấy pin "PSINCDEC, PSEN HOẶC PSCLK".Tại sao các công cụ báo cáo này loại lỗi.Cảm ơn!

David

 
Các thông báo lỗi dường như chỉ ra rằng ISE đang cố gắng sử dụng một hoặc DCM Digital Clock Manager như một DLL, nhưng tất cả các yếu tố đầu vào khác nhau cho các DCM không được kết nối.

Đoán của tôi là bạn đang sử dụng một tập tin coregen từ một gia đình logic khác nhau.Một số FPGAs chỉ có phần DLL khác mới hơn có DCM đó thực sự là một DLL với nhiều tính năng khác.Nếu CLKDLL.v đã được tạo ra từ một gia đình FPGA cũ hơn, sau đó sẽ không COREGEN hookup thiếu những yếu tố đầu vào như họ không tồn tại.

Mở COREGEN và tái triển khai cho các gia đình FPGA bạn đang sử dụng.Đoán của tôi là các lỗi sẽ biến mất sau đó.

--- Steve

PS Vui lòng xóa các tập tin cũ từ thư mục thiết kế đầu tiên và ghi nhớ để làm sạch tập tin trước khi tái triển khai thực hiện.Điều này sẽ giúp ISE từ việc sử dụng các tập tin cũ.

 

Welcome to EDABoard.com

Sponsor

Back
Top