ví dụ để mô phỏng với Quartus II phiên bản v11.1 web và modelsim-Altera

J

joseMiguel

Guest
Hi, tôi đã viết một truy cập đơn giản với testbench và cố gắng để mô phỏng với modelsim-Altera. tôi đã nhận các tín hiệu của các truy cập vào modelsim-Altera nhưng có undriven. nhận xét: Dự án có tên của đơn vị hàng đầu. coud bạn giúp tôi xin vui lòng. JoseMiguel
 
đảm bảo rằng tín hiệu khởi tạo không ... nếu không họ sẽ xuất hiện như là "U" trong mô phỏng .. nếu nó vẫn không hoạt động, xin gửi mã của bạn nghe.
 
hi, [cú pháp = VHDL thư viện ieee; ieee.std_logic_1164.all sử dụng; ieee.numeric_std.all sử dụng, thực thể counterModulo16 là cổng (CLK, nRST: trong std_logic; Q: ra unsigned (7 downto 0)), kết thúc counterModulo16; kiến trúc archCounterModulo16 của counterModulo16 là tín hiệu TEMP: unsigned (Q'range), bắt đầu quá trình (CLK, nRST) bắt đầu nếu (nRST = '0 ') sau đó TEMP '0'); elsif (CLK'event và CLK = '1 ') sau đó TEMP
 
tín hiệu TEMP: unsigned (Q'range): = (những người khác => '0 '); hãy thử thay đổi nó như thế này ..
 
Hi, có vẻ như nó không có trong tài khoản của testbench. Q và TEMP là 0000000 nhưng có không countering. nhận xét: tên của dự án là counterModulo16. Cảm ơn bạn JoseMiguel
 
Bạn có chắc chắn bạn đang mô phỏng testbench và không counterModulo16?
 
Hi, tôi đã làm một dự án khác với testbench như một thực thể đầu và sửa đổi nhỏ. tôi có một lỗi: lỗi (12060): không thể tổng hợp thiết kế hiện tại - thiết kế không chứa bất kỳ logic 1) mã VHDL testbench - [mở rộng]
Code:
 thư viện ieee; sử dụng ieee.std_logic_1164.all; sử dụng ieee. numeric_std.all, sử dụng work.counter16_pkg.all; thực thể tb_counter16 là kết thúc thực thể tb_counter16, kiến ​​trúc archtb_counter16 của tb_counter16 là tín hiệu sCLK: std_logic; tín hiệu snRST: std_logic; tín hiệu SQ: unsigned (7 downto 0); bắt đầu DUT: counter16 cổng bản đồ ( sCLK, snRST, SQ); snRST
 
Hi Jose Miguel, bạn không cần một gói. Chỉ cần loại bỏ nó và các mô-đun truy cập để tổng hợp. Trong thiết kế hiện tại của bạn, trình biên dịch không thể tìm thấy bất cứ điều gì, bởi vì không có gì là instantiated trong gói phần mềm của bạn. Thay vì một gói, bạn có thể sử dụng một mô-đun đầu hoặc một wrapper
Code:
 thư viện ieee, sử dụng ieee.std_logic_1164.all; ieee.numeric_std.all sử dụng, thực thể top_counter là cổng (CLK, nRST: trong std_logic; Q: ra unsigned ( 7 downto 0)), top_counter kết thúc, thành phần counter16 cổng (CLK, nRST: trong std_logic; Q: ra unsigned (7 downto 0)); kết thúc thành phần; kiến ​​trúc vòm của top_counter được bắt đầu inst_counter16: counter16 cổng bản đồ (CLK => CLK , nRST => nRST, Q => Q), kết thúc kiến ​​trúc;
 
Hi, tôi vẫn có một lỗi: lỗi (12060): không thể tổng hợp thiết kế hiện tại - thiết kế không chứa bất kỳ logic Có thể ai đó cố gắng ví dụ này và gửi cho tôi giải pháp. với tên của dự án và tất cả các tập tin của dự án. cảm ơn bạn Jose Miguel
 
Code:
 thư viện ieee; sử dụng ieee.std_logic_1164.all; ieee.numeric_std.all sử dụng, thực thể counter16 là cổng (CLK, nRST: trong std_logic; Q: ra unsigned (7 downto 0)), kết thúc counter16, kiến ​​trúc archCounter16 của counter16 là tín hiệu TEMP: unsigned (Q'range), bắt đầu quá trình (CLK, nRST) bắt đầu nếu (nRST = "0") sau đó TEMP '0 '); elsif (CLK'event và CLK = '1') sau đó TEMP
 
Hi, mã này có thể được tổng hợp nhưng cho mô phỏng, làm thế nào tôi có thể làm? cảm ơn bạn. Jose Miguel. [COLOR = "bạc"] --- Cập nhật --- [/COLOR] Hi, mã này có thể được tổng hợp nhưng cho mô phỏng, làm thế nào tôi có thể làm? cảm ơn bạn. Jose Miguel.
 
Code:
 thư viện ieee; ieee.std_logic_1164.all sử dụng; ieee.numeric_std.all sử dụng, thực thể tb_counter16 là kết thúc thực thể tb_counter16, kiến ​​trúc archtb_counter16 của tb_counter16 là tín hiệu sCLK: std_logic; tín hiệu snRST: std_logic; tín hiệu SQ: unsigned (7 downto 0 ), bắt đầu DUT: thực thể work.counter16 cổng bản đồ (sCLK, snRST, SQ); snRST
 
Hi, Làm thế nào tôi có thể có với Modelsim-Altera các tín hiệu từ testbench và có làm việc mô phỏng? Cảm ơn bạn. Jose Miguel
 
Hi, mã này có thể được tổng hợp để mô phỏng, làm thế nào tôi có thể làm? cảm ơn bạn. Jose Miguel. [COLOR = "bạc"] --- Cập nhật --- [/COLOR] Hi, mã này có thể được tổng hợp nhưng cho mô phỏng, làm thế nào tôi có thể làm? cảm ơn bạn. Jose Miguel
Tôi thường sử dụng các kịch bản mô phỏng. Dưới đây là một file zip với các nguồn đầy đủ (counter16.vhd, testbench, vv) mô phỏng sẽ chỉ làm việc nếu bạn đã cài đặt Modelsim trên máy tính của bạn. View attachment 76978 - Giải nén các tập tin, nhấp đúp chuột vào counter16 \ modelsim.bat Modelsim cách nên bắt đầu tự động và mô phỏng sẽ được hiển thị. Chúc may mắn!
 
Hi, Cảm ơn bạn, tôi thành công để chạy mô phỏng với modelsim-Altera. Nhưng tôi không thành công trực tiếp từ Quartus. tốt nhất liên quan joseMiguel
 

Welcome to EDABoard.com

Sponsor

Back
Top