Trợ giúp trong max ltera @ epm7128slc84-15

N

nbastar

Guest
chip: epm7128slc84-15
phần mềm: maxplus2
Chương trình: VHDLLIBRARY ieee;
SỬ DỤNG ieee.std_logic_1164.all;
SỬ DỤNG ieee.std_logic_arith.all;
SỬ DỤNG ieee.std_logic_unsigned.all;

ENTITY golf00 IS
Port (a1, a2, a3, a4, a5, a6, a7, a8, a9, A10, A11, A12, a13, A14, A15: TRONG std_ulogic;
A16, A17, A18, A19, A20, A21, A22, A23, A24, A25, A26, a27, A28, A29: TRONG std_ulogic;
A30, A31, A32, a33, a34, A35, A36, A37, A38, A39, A40, A41, a42, A43, A44, a45, a46, A47, a48: TRONG std_ulogic;
res, cp: TRONG std_ulogic;
q: OUT std_ulogic;

qa: OUT std_ulogic_vector downto 6 (0)

);
END golf00;

KIẾN TRÚC RTL CỦA golf00 IStín hiệu temp1: std_ulogic_vector (9 downto 0);
tín hiệu temp2: std_ulogic_vector (9 downto 0);
tín hiệu temp3: std_ulogic_vector (9 downto 0);
tín hiệu temp4: std_ulogic_vector (9 downto 0);
tín hiệu temp5: std_ulogic_vector (9 downto 0);
tín hiệu temp6: std_ulogic_vector (9 downto 0);
tín hiệu temp7: std_ulogic_vector (9 downto 0);

tín hiệu temp8: std_ulogic_vector (3 downto 0);
tín hiệu temp9: std_ulogic_vector (3 downto 0);
tín hiệu temp10: std_ulogic_vector (2 downto 0);
tín hiệu y1, y6: std_logic;
tín hiệu y2, y5: std_logic;
tín hiệu Y3, Y4: std_logic;BEGIN
y1 <= a1 và a2 và a3 và a4 và a5 và a6 và a7 và a8 và a9;
y2 <= a10 và A11 và A12 và a13 và A14 và A15 và A16 và A17 và A18 và A19;
Y4 <= A20 và A21 và A22 và A23 và A24 và A25 và A26 và a27 và A28 và A29;
y5 <= A30 và A31 và A32 và a33 và a34 và A35 và A36 và A37 và A38 và A39;
y6 <= A40 và A41 và a42 và A43 và A44 và a45 và a46 và A47 và a48;

Y3 <= y1 và y2 và Y4 và y5 và y6;TEMP1 <= a9 & a8 & a7 & a6 & a5 & a4 & a3 & a2 & a1 & '1 ';
TEMP2 <= A19 & A18 & A17 & A16 & A15 & A14 & a13 & A12 & A11 &a10;
TEMP3 <= A29 & A28 & a27 & A26 & A25 & A24 & A23 & A22 & A21 &a20;
TEMP4 <= A39 & A38 & A37 & A36 & A35 & a34 & a33 & A32 & A31 &a30;
TEMP6 <= '1 '& a48 & A47 & a46 & a45 & A44 & A43 & a42 & A41 &a40;

TEMP9 <= y6 & Y4 & Y3 &y2;
QUY TRÌNH (Y3)
BEGIN
nếu (Y3'EVENT VÀ Y3 = '0 ') THEN
TEMP5 <= temp1 và temp2 và temp3 và temp4 và temp6;
END IF;
END PROCESS;
qa (0) <= không (temp5 (1) và temp5 (3) và temp5 (5) và temp5 (7) và temp5 (9));
qa (1) <= không (temp5 (2) và temp5 (3) và temp5 (6) và temp5 (7));
qa (2) <= không (temp5 (4) và temp5 (5) và temp5 (6) và temp5 (7));
qa (3) <= không (temp5 (8) và temp5 (9));

QUY TRÌNH (Y3)
BEGIN
nếu (Y3'EVENT VÀ Y3 = '0 ') THEN
trường hợp là temp9
KHI "0.111" => TEMP10 <= "100"; - 40
KHI "1011" => TEMP10 <= "011"; - 30
KHI "1101" => TEMP10 <= "010"; - 20
KHI "1110" => TEMP10 <= "001"; - 10
KHI KHÁC => TEMP10 <= "000"; - 00
END CASE;
END IF;
END PROCESS;
qa (4) <= TEMP10 (0);
qa (5) <= TEMP10 (1);
qa (6) <= TEMP10 (2);
q <= Y3;

END RTL;đầu ra: đó là sai.
nếu tôi thay đổi chip như flex6000 (epf6010atc100), nó là ok.
i muốn sử dụng epm7128slc, tại sao không?
Plese giúp tôi!!

 
Xin chào nbastar,

Ông có thể giải thích chi tiết hơn những gì đang xảy ra vậy?Không mô phỏng của bạn không?Hoặc không tổng hợp những thất bại?Tiện đây,
cube007

 
Tôi đề nghị để xác minh số lượng pin, tôi beleave bạn đang sử dụng pin không somes
có thể cho công việc của bạn.
Để ????, đầu vào nhiều không chỉ định pin, biên dịch và biên dịch bằng cách sử dụng bình thường và đặt
optimozation trong không gian và không gian, theo các thông báo lỗi của trình biên dịch
kính trọng
M

 
hello cube007

mô phỏng là thất bại.
chi tiết sau:

Lỗi: Không có phân vùng có thể vì các tế bào q logic requres quá nhiều [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào qa6 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào qa5 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào qa4 quá nhiều requres [48/36] đầu vào từ PIA

Lỗi: Không có phân vùng logic có thể vì các tế bào temp59 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào temp58 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào temp57 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào temp56 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào temp55 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào temp54 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào temp53 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào temp52 quá nhiều requres [48/36] đầu vào từ PIA
Lỗi: Không có phân vùng logic có thể vì các tế bào temp51 quá nhiều requres [48/36] đầu vào từ PIA

Lỗi: Không có phù hợp với các mặt hàng, tạo ra các báo cáo tập

Lỗi: Logic bào 'q' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic bào 'qa4' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic bào 'qa5' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic bào 'qa6' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 110' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 111' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 112' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 113' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 114' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 115' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 116' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 117' đòi hỏi quá nhiều [48/46] đầu vào từ PIA
Lỗi: Logic ô ': 118' đòi hỏi quá nhiều [48/46] đầu vào từ PIA

 
hello cube007

i nhận được nó.i chỉ cần chọn "đa tổng hợp cấp cho max3000/5000/7000 Devices" trong tối đa plus2.
Nhưng tôi không thực sự hiểu nó.
Điều này cho phép tôi sử dụng tất cả các phòng thí nghiệm giống như một phòng thí nghiệm, phòng thí nghiệm B, C, vv phòng thí nghiệm.đúng hay sai?

kích trọng
nbastar

 

Welcome to EDABoard.com

Sponsor

Back
Top