Synthesis Error - Spartan 3E

Z

Zhane

Guest
Khi tôi cố gắng phân công CLK CLK đến tất cả các bản đồ của tôi tại cổng top.prj ...i nhận được rất nhiều lỗi và cảnh báo như sau:

Trích:

Đọc sách thiết kế: top.prj================================================== =======================

* HDL Compilation *

================================================== =======================

Biên tập VHDL "D: / Xilinx / Dự án / TPM / sampling.vhd" tại Thư viện làm việc.

Kiến trúc hành vi của thực thể lấy mẫu được cập nhật.

Biên tập VHDL "D: / Xilinx / Dự án / TPM / clkmaker.vhd" tại Thư viện làm việc.

Kiến trúc hành vi của Entity clkmaker là đến ngày.

Biên tập VHDL "D: / Xilinx / Dự án / TPM / samplepack.vhd" tại Thư viện làm việc.

Kiến trúc hành vi của Entity samplepack là đến ngày.

Biên tập VHDL "D: / Xilinx / Dự án / TPM / transmitter.vhd" tại Thư viện làm việc.

Kiến trúc txd_arch của thực thể truyền được đến nay.

Biên tập VHDL "D: / Xilinx / Dự án / TPM / top.vhd" tại Thư viện làm việc.

<top> Tổ chức biên soạn.

Thực thể <top> (Kiến trúc <behavioral>) biên soạn.================================================== =======================

* Thiết kế Hierarchy Phân tích *

================================================== =======================

Phân tích hệ thống phân cấp cho các thực thể <top> trong thư viện <work> (kiến trúc <behavioral>).Phân tích hệ thống phân cấp cho các thực thể <clkmaker> trong thư viện <work> (kiến trúc <behavioral>).Phân tích hệ thống phân cấp cho các thực thể <samplepack> trong thư viện <work> (kiến trúc <behavioral>).Phân tích hệ thống phân cấp cho các thực thể <transmitter> trong thư viện <work> (kiến trúc <txd_arch>).Phân tích hệ thống phân cấp cho các thực thể <sampling> trong thư viện <work> (kiến trúc <behavioral>).================================================== =======================

* HDL Phân tích *

================================================== =======================

Phân tích Entity <top> trong thư viện <work> (Kiến trúc <behavioral>).

Chú ý: Xst: 753 - "D: / Xilinx / Dự án / TPM / top.vhd" line 86: không liên lạc đầu ra cổng 'CLKIN_IBUFG_OUT' của clkmaker thành phần ''.

Chú ý: Xst: 753 - "D: / Xilinx / Dự án / TPM / top.vhd" line 86: không liên lạc đầu ra cổng 'LOCKED_OUT' của clkmaker thành phần ''.

<top> Thực thể phân tích.
Đơn vị <top> tạo ra.Phân tích Entity <clkmaker> trong thư viện <work> (Kiến trúc <behavioral>).

Thiết lập người dùng xác định tài sản "Điện dung = DONT_CARE" cho <CLKIN_IBUFG_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "IBUF_DELAY_VALUE = 0" cho <CLKIN_IBUFG_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "IOSTANDARD = DEFAULT" cho <CLKIN_IBUFG_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "PHASE_SHIFT = 0" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "CLK_FEEDBACK = 1X" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "CLKDV_DIVIDE = 2,0000000000000000" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "CLKFX_DIVIDE = 1" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "CLKFX_MULTIPLY = 3" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "CLKIN_DIVIDE_BY_2 = FALSE" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "CLKIN_PERIOD = 30,3030000000000010" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "CLKOUT_PHASE_SHIFT = KHOÂNG" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "DESKEW_ADJUST = SYSTEM_SYNCHRONOUS" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "DFS_FREQUENCY_MODE = LOW" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "DLL_FREQUENCY_MODE = LOW" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "DSS_MODE = KHOÂNG" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "DUTY_CYCLE_CORRECTION = TRUE" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "FACTORY_JF = C080" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

Thiết lập người dùng xác định tài sản "STARTUP_WAIT = FALSE" cho <DCM_SP_INST> dụ trong đơn vị <clkmaker>.

<clkmaker> Thực thể phân tích.
Đơn vị <clkmaker> tạo ra.Phân tích Entity <samplepack> trong thư viện <work> (Kiến trúc <behavioral>).

Chú ý: Xst: 819 - "D: / Xilinx / Dự án / TPM / samplepack.vhd" line 68: Các tín hiệu sau đây bị thiếu trong danh sách nhạy cảm quá trình:

statusrdy, samplecnt, samplebuff, rawcnt, rawbuff.

<samplepack> Thực thể phân tích.
Đơn vị <samplepack> tạo ra.Phân tích Entity <sampling> trong thư viện <work> (Kiến trúc <behavioral>).

THÔNG TIN: Xst: 1432 - Nội dung của mảng <oldsample> có thể được truy cập bằng một chỉ số tiêu cực, gây ra không phù hợp mô phỏng.

THÔNG TIN: Xst: 1433 - Nội dung của mảng <oldsample> có thể được truy cập bằng một chỉ số đó vượt quá kích thước mảng.
Điều này có thể gây ra không phù hợp mô phỏng.

Chú ý: Xst: 790 - "D: / Xilinx / Dự án / TPM / sampling.vhd" line 90: Chỉ số giá trị (s) không khớp với nhiều mảng, mô phỏng không phù hợp.

Chú ý: Xst: 790 - "D: / Xilinx / Dự án / TPM / sampling.vhd" line 90: Chỉ số giá trị (s) không khớp với nhiều mảng, mô phỏng không phù hợp.

Chú ý: Xst: 790 - "D: / Xilinx / Dự án / TPM / sampling.vhd" line 101: Chỉ số giá trị (s) không khớp với nhiều mảng, mô phỏng không phù hợp.

THÔNG TIN: Xst: 1432 - Nội dung của mảng <oldsample> có thể được truy cập bằng một chỉ số tiêu cực, gây ra không phù hợp mô phỏng.

THÔNG TIN: Xst: 1433 - Nội dung của mảng <oldsample> có thể được truy cập bằng một chỉ số đó vượt quá kích thước mảng.
Điều này có thể gây ra không phù hợp mô phỏng.

<sampling> Thực thể phân tích.
Đơn vị <sampling> tạo ra.Phân tích Entity <transmitter> trong thư viện <work> (Kiến trúc <txd_arch>).

<transmitter> Thực thể phân tích.
Đơn vị <transmitter> tạo ra.================================================== =======================

* HDL Synthesis *

================================================== =======================Trình diễn độ phân giải cổng hai chiều ...Tổng hợp Đơn vị <transmitter>.

Liên quan đến nguồn tập tin là "D: / Xilinx / Dự án / TPM / transmitter.vhd".

Chú ý: Xst: 2734 - Bất động sản "use_dsp48" không áp dụng cho công nghệ này.

Hàng 1-bit cho các tín hiệu <busyTxD>.

Hàng 1-bit cho <TxD> tín hiệu.

Hàng 8-bit cho các tín hiệu <dataBuffer>.

Hàng 26-bit lên accumulator cho tín hiệu <phaseAcc>.

Hàng 4-bit cho các tín hiệu <state>.

Hàng 4-bit adder cho tín hiệu <state$addsub0000> tạo ra tại dòng 81.

Hàng 4-bit comparator greatequal cho tín hiệu <state$cmp_ge0000> tạo ra tại dòng 77.

Hàng 4-bit comparator lessequal cho tín hiệu <state$cmp_le0000> tạo ra tại dòng 77.

Hàng 4-bit comparator lớn hơn cho <TxD$cmp_gt0000> tín hiệu tạo ra tại dòng 77.

Hàng 4-bit comparator ít hơn cho <TxD$cmp_lt0000> tín hiệu tạo ra tại dòng 77.

Tóm tắt:

suy ra 1 accumulator (s).

suy ra 14 D-type flip-flop (s).

suy ra 1 Adder / Subtractor (s).

suy ra 4 sánh (s).

Đơn vị <transmitter> tổng hợp.Tổng hợp Đơn vị <sampling>.

Liên quan đến nguồn tập tin là "D: / Xilinx / Dự án / TPM / sampling.vhd".

Chú ý: Xst: 647 - đầu vào <đầu vào <6:04>> là không bao giờ sử dụng.

Chú ý: Xst: 2734 - Bất động sản "use_dsp48" không áp dụng cho công nghệ này.

Chú ý: Xst: 2734 - Bất động sản "use_dsp48" không áp dụng cho công nghệ này.

Chú ý: Xst: 2734 - Bất động sản "use_dsp48" không áp dụng cho công nghệ này.

Hàng 1-bit cho các tín hiệu <error>.

Hàng 4-bit cho các tín hiệu <rawdata>.

Hàng 4-bit cho các tín hiệu <sampleddata>.

Hàng 1-bit cho các tín hiệu <ready>.

Hàng 4-bit 5-to-1 kênh cho các tín hiệu <$ varindex0000> tạo ra tại dòng 101.

Hàng 2-bit adder thực hiện cho các tín hiệu <count$addsub0000> tạo ra tại dòng 81.

Hàng 32-bit, 4-to-1 kênh cho các tín hiệu <count$mux0001> tạo ra tại dòng 90.

Hàng 2-bit adder cho tín hiệu <count_0$addsub0000> tạo ra tại dòng 81.

Hàng 2-bit adder thực hiện cho các tín hiệu <count_0$addsub0002> tạo ra tại dòng 81.

Hàng 2-bit adder cho tín hiệu <count_1$add0000> tạo ra tại dòng 81.

Hàng 2-bit adder cho tín hiệu <count_1$addsub0000> tạo ra tại dòng 81.

Hàng 1-bit adder thực hiện cho các tín hiệu <count_1$addsub0002> tạo ra tại dòng 81.

Hàng 2-bit adder thực hiện cho các tín hiệu <count_1$addsub0003> tạo ra tại dòng 81.

Hàng 4-bit comparator bình đẳng cho tín hiệu <count_1$cmp_eq0000> tạo ra tại dòng 80.

Hàng 2-bit adder cho tín hiệu <count_2$add0001> tạo ra tại dòng 81.

Hàng 1-bit adder thực hiện cho các tín hiệu <count_2$addsub0002> tạo ra tại dòng 81.

Hàng 2-bit adder thực hiện cho các tín hiệu <count_2$addsub0003> tạo ra tại dòng 81.

Hàng 4-bit comparator bình đẳng cho tín hiệu <count_2$cmp_eq0000> tạo ra tại dòng 80.

Hàng 4-bit comparator bình đẳng cho tín hiệu <count_2$cmp_eq0001> tạo ra tại dòng 80.

Hàng 2-bit adder cho tín hiệu <count_3$addsub0001> tạo ra tại dòng 81.

Hàng 1-bit adder thực hiện cho các tín hiệu <count_3$addsub0002> tạo ra tại dòng 81.

Hàng 4-bit comparator bình đẳng cho tín hiệu <count_3$cmp_eq0000> tạo ra tại dòng 80.

Hàng 4-bit comparator bình đẳng cho tín hiệu <count_3$cmp_eq0001> tạo ra tại dòng 80.

Hàng 4-bit comparator bình đẳng cho tín hiệu <count_3$cmp_eq0002> tạo ra tại dòng 80.

Hàng 32-bit lên truy cập cho các tín hiệu <counter>.

Hàng 32-bit, so sánh lớn hơn cho tín hiệu <index$cmp_gt0000> tạo ra tại dòng 90.

Hàng 3-bit comparator lớn hơn cho tín hiệu <index$cmp_gt0001> tạo ra tại dòng 90.

Hàng 32-bit, so sánh lớn hơn cho tín hiệu <index$cmp_gt0002> tạo ra tại dòng 90.

Hàng 20-bit cho tín hiệu <oldsample>.

Tóm tắt:

suy ra 1 Số lượt truy cập (s).

suy ra 30 D-type flip-flop (s).

suy ra 12 Adder / Subtractor (s).

suy ra 9 sánh (s).

suy ra 36 Multiplexer (s).

Đơn vị <sampling> tổng hợp.Tổng hợp Đơn vị <clkmaker>.

Liên quan đến nguồn tập tin là "D: / Xilinx / Dự án / TPM / clkmaker.vhd".

Đơn vị <clkmaker> tổng hợp.Tổng hợp Đơn vị <samplepack>.

Liên quan đến nguồn tập tin là "D: / Xilinx / Dự án / TPM / samplepack.vhd".

Chú ý: Xst: 646 - Tín hiệu <statuserror> được phân công nhưng không bao giờ sử dụng.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTRAW_5> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTRAW_6> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTRAW_7> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho tín hiệu <samplecnt>.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTSAM_0> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTSAM_1> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTSAM_2> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTSAM_3> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTSAM_4> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTSAM_5> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTSAM_6> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTSAM_7> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <SREADY> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTRAW_0> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTRAW_1> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTRAW_2> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTRAW_3> tín hiệu.

Chú ý: Xst: 737 - Found 1-bit chốt cho <OUTPUTRAW_4> tín hiệu.

Đơn vị <samplepack> tổng hợp.Tổng hợp Đơn vị <top>.

Liên quan đến nguồn tập tin là "D: / Xilinx / Dự án / TPM / top.vhd".

Chú ý: Xst: 647 - <RxD> đầu vào là không bao giờ sử dụng.

Chú ý: Xst: 1780 - Tín hiệu <idleRxD> là không bao giờ sử dụng hoặc được giao.

Chú ý: Xst: 1780 - Tín hiệu <startTxD> là không bao giờ sử dụng hoặc được giao.

Chú ý: Xst: 646 - Tín hiệu <busyTxD> được phân công nhưng không bao giờ sử dụng.

Chú ý: Xst: 646 - Tín hiệu <SAMPLECLK> được phân công nhưng không bao giờ sử dụng.

Chú ý: Xst: 646 - Tín hiệu <CLKCLK> được phân công nhưng không bao giờ sử dụng.

Chú ý: Xst: 646 - Tín hiệu <RREADY> được phân công nhưng không bao giờ sử dụng.

Chú ý: Xst: 646 - Tín hiệu <SREADY> được phân công nhưng không bao giờ sử dụng.

Chú ý: Xst: 646 - Tín hiệu <BUFFERRAW> được phân công nhưng không bao giờ sử dụng.

Chú ý: Xst: 653 - Tín hiệu <ready> được sử dụng nhưng không bao giờ được giao.
Ràng buộc với giá trị 0.

Chú ý: Xst: 646 - Tín hiệu <BUFFERSAM> được phân công nhưng không bao giờ sử dụng.

Chú ý: Xst: 653 - Tín hiệu <data> được sử dụng nhưng không bao giờ được giao.
Ràng buộc với trị giá 00.000.000.

Đơn vị <top> tổng hợp.================================================== =======================

HDL Synthesis ReportThống kê vĩ mô

# Adders / Subtractors: 13

1-bit adder thực hiện: 3

2-bit adder: 5

2-bit adder thực hiện: 4

4-bit adder: 1

# Counters: 1

32-bit lên truy cập: 1

# Accumulators: 1

26-bit lên accumulator: 1

# Đăng ký: 13

1-bit đăng ký: 4

4-bit đăng ký: 8

8-bit đăng ký: 1

# Latches: 18

1-bit chốt: 18

# Comparators: 13

3-bit so sánh hơn: 1

32-bit, so sánh lớn hơn: 2

4-bit, so sánh bằng nhau: 6

4-bit comparator greatequal: 1

4-bit so sánh hơn: 1

4-bit comparator ít: 1

4-bit comparator lessequal: 1

# Multiplexers: 2

32-bit, 4-đến kênh 1-: 1

4-bit 5-đến kênh 1-: 1================================================== ========================================================================= =======================

* Nâng cao HDL Synthesis *

================================================== =======================Loading Rf_Device thiết bị cho các ứng dụng từ tập tin '3 s500e.nph 'trong môi trường D: \ Xilinx.

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_0> (không có giá trị init) có một giá trị không đổi của 0 trong <TxD_portmap> khối.

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_1> (không có giá trị init) có một giá trị không đổi của 0 trong <TxD_portmap> khối.

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_2> (không có giá trị init) có một giá trị không đổi của 0 trong <TxD_portmap> khối.

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_3> (không có giá trị init) có một giá trị không đổi của 0 trong <TxD_portmap> khối.

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_4> (không có giá trị init) có một giá trị không đổi của 0 trong <TxD_portmap> khối.

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_5> (không có giá trị init) có một giá trị không đổi của 0 trong <TxD_portmap> khối.

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_6> (không có giá trị init) có một giá trị không đổi của 0 trong <TxD_portmap> khối.

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_7> (không có giá trị init) có một giá trị không đổi của 0 trong <TxD_portmap> khối.

Chú ý: Xst: 2677 - Node <ready> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <rawdata_0> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <rawdata_1> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <rawdata_2> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <rawdata_3> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <error> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <sampleddata_0> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <sampleddata_1> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <sampleddata_2> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <sampleddata_3> loại tuần tự là không quan hệ trong <Inst_sampling> khối.

Chú ý: Xst: 2677 - Node <busyTxD> loại tuần tự là không quan hệ trong <TxD_portmap> khối.================================================== =======================

Nâng cao HDL Synthesis ReportThống kê vĩ mô

# Adders / Subtractors: 13

1-bit adder thực hiện: 3

2-bit adder: 5

2-bit adder thực hiện: 4

4-bit adder: 1

# Counters: 1

32-bit lên truy cập: 1

# Accumulators: 1

26-bit lên accumulator: 1

# Đăng ký: 44

Flip-flops: 44

# Latches: 18

1-bit chốt: 18

# Comparators: 13

3-bit so sánh hơn: 1

32-bit, so sánh lớn hơn: 2

4-bit, so sánh bằng nhau: 6

4-bit comparator greatequal: 1

4-bit so sánh hơn: 1

4-bit comparator ít: 1

4-bit comparator lessequal: 1

# Multiplexers: 2

32-bit, 4-đến kênh 1-: 1

4-bit 5-đến kênh 1-: 1================================================== ========================================================================= =======================

* Low Level Synthesis *

================================================== =======================

THÔNG TIN: Xst: 2261 - The FF / Latch <dataBuffer_0> trong Đơn vị <transmitter> tương đương với FF sau 7 / Latches, mà sẽ được gỡ bỏ: <dataBuffer_1> <dataBuffer_2> <dataBuffer_3> <dataBuffer_4> <dataBuffer_5> <dataBuffer_6 > <dataBuffer_7>

Chú ý: Xst: 1710 - FF / Latch <dataBuffer_0> (không có giá trị init) có một giá trị không đổi của 0 trong khối <transmitter>.

Chú ý: Xst: 2677 - Node <oldsample_4_0> loại tuần tự là không quan hệ trong khối <sampling>.

Chú ý: Xst: 2677 - Node <oldsample_4_1> loại tuần tự là không quan hệ trong khối <sampling>.

Chú ý: Xst: 2677 - Node <oldsample_4_2> loại tuần tự là không quan hệ trong khối <sampling>.

Chú ý: Xst: 2677 - Node <oldsample_4_3> loại tuần tự là không quan hệ trong khối <sampling>.

Chú ý: Xst: 2170 - Đơn vị samplepack: tín hiệu sau đây (s) tạo thành một vòng lặp tổ hợp: RREADY.

Chú ý: Xst: 2016 - tìm thấy một vòng lặp khi tìm kiếm nguồn đồng hồ trên cổng '_n0000: _n0000'

Cảnh báo mới sẽ được phát hành chỉ một lần.Tối ưu hóa đơn vị <top> ...Tối ưu hóa đơn vị <transmitter> ...Tối ưu hóa đơn vị <sampling> ...Tối ưu hóa đơn vị <samplepack> ...

Chú ý: Xst: 2677 - Node <TxD_portmap/busyTxD> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/ready> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/rawdata_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/rawdata_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/rawdata_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/rawdata_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/error> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_3_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_3_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_3_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_3_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_2_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_2_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_2_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_2_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_1_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_1_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_1_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_1_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_0_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_0_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_0_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/oldsample_0_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/sampleddata_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/sampleddata_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/sampleddata_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/sampleddata_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_4> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_5> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_6> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_7> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_8> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_9> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_10> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_11> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_12> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_13> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_14> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_15> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_16> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_17> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_18> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_19> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_20> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_21> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_22> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_23> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_24> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_25> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_26> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_27> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_28> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_29> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_30> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/Inst_sampling/counter_31> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTRAW_5> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTRAW_6> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTRAW_7> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTRAW_4> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/samplecnt> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/SREADY> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTRAW_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTRAW_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTRAW_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTRAW_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTSAM_0> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTSAM_1> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTSAM_2> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTSAM_3> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTSAM_4> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTSAM_5> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTSAM_6> loại tuần tự là không quan hệ trong khối <top>.

Chú ý: Xst: 2677 - Node <Inst_samplepack/OUTPUTSAM_7> loại tuần tự là không quan hệ trong khối <top>.Lập bản đồ tất cả các phương trình ...

ERROR: Xst: 2035 - Cảng <CLK> có kết nối bất hợp pháp.
Cảng được kết nối với bộ đệm đầu vào và các cổng sau đây:

Cảng <C> của <TxD_portmap/phaseAcc_0> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_1> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_2> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_3> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_4> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_5> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_6> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_7> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_8> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_9> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_10> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_11> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_12> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_13> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_14> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_15> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_16> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_17> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_18> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_19> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_20> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_21> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_22> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_23> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_24> nút (FD) trong đơn vị <top>

Cảng <C> của <TxD_portmap/phaseAcc_25> nút (FD) trong đơn vị <top>

CPU: 11,52 / 11,67 s | Elapsed: 12,00 / 12,00 s->Sử dụng bộ nhớ Tổng cộng là 163.860 kilobyteSố lỗi: 1 (0 lọc)

Số cảnh báo: 144 (0 lọc)

Số infos: 5 (0 lọc)Quy trình "tổng hợp" thất bại
 
i không biết VHDL rất tốt, nhưng căn cứ vào mã của bạn,
bạn có một vài mô-đun như clkmaker, samplepack, và truyền.

bạn có kết nối đầu vào "CLK" cho tất cả các phân hệ này.này nên được sử dụng tốt nhưng từ "CLK" được kết nối với clkmaker, mà là một kết nối với IBUFG, đó là bất hợp pháp cho u để kết nối "CLK" để phân hệ khác hoặc FF.

nếu bạn muốn module khác chạy ở tần số cùng "CLK", kết nối "CLK0_OUT" từ clkmaker để phân hệ khác.

này nên giải quyết tổng hợp của bạn lỗi.

 
Thanks, Im có thể tổng hợp nó.Nhưng tôi vẫn nhận được tất cả những cảnh báo.

Dường như hầu hết các cảnh báo đến từ các tập tin này của .. mã hóa của tôigì đó về chỉ số của oldsample và isnt tính khá đúng.Tôi đã nứt đầu của tôi trên nó và cant dường như có thể tìm thấy bất cứ điều gì sai trái nghiêm trọng với nó.

Bất cứ ý tưởng những gì tôi có thể làm gì?

Trích:

-------------------------------------------------- ---------------------------------------------------------------------------------- --------------------------------

thư viện IEEE;

IEEE.STD_LOGIC_1164.ALL sử dụng;

IEEE.STD_LOGIC_ARITH.ALL sử dụng;

IEEE.STD_LOGIC_UNSIGNED.ALL sử dụng;---- Uncomment khai báo thư viện sau nếu instantiating

---- Xilinx bất kỳ nguyên thủy trong mã này.

--library UNISIM;
--use UNISIM.VComponents.all;

entity sampling is
Port ( CLK : in STD_LOGIC;
input : in STD_LOGIC_VECTOR (6 downto 0); -- input channels
sampleddata : out STD_LOGIC_VECTOR(3 downto 0); -- processed data(nibble)
rawdata: OUT STD_LOGIC_VECTOR(3 downto 0); -- raw data(nibble)

-- sampleddat0 : out STD_LOGIC_VECTOR(3 downto 0);
-- sampleddat1 : out STD_LOGIC_VECTOR(3 downto 0);
-- sampleddat2 : out STD_LOGIC_VECTOR(3 downto 0);
-- sampleddat3 : out STD_LOGIC_VECTOR(3 downto 0);
error : out std_logic ; -- indicate when sampled data is all different
ready: OUT std_logic -- indicate data sampled is ready
);
end sampling;

architecture Behavioral of sampling is

subtype data is std_logic_vector(3 downto 0);
type samplearray is array(4 downto 0) of data;
signal oldsample: samplearray; signal counter: integer:=0;
type vec is array(3 downto 0) of integer; begin
-- use constants of external clk to calculate sampling rate. eg. how many samples per real clock
-- put counter in process to count. if majority of them is A, then A is the value

process(CLK)

variable index : integer:=0;
variable count: vec:=(0,0,0,0);

begin
--count(0):=0;count(1):=0;count(2):=0;count(3):=0;

if rising_edge(CLK) then oldsample(counter)<=input(3 downto 0);
rawdata<=input(3 downto 0);

counter<=counter 1;
if (counter=4) then
-- store inputs, count no. of occurences
for n in 0 to 3 loop
for m in 0 to 3 loop
if(oldsample(n)=oldsample(m)) then
count(n):=count(n) 1; -- at least 1 coz will
-- ownself = ownself
nếu kết thúc;

end loop;
end loop;

----- check for repeated most frequently, return index ----
index :=0;
for i in 0 to 3 loop
if( count(i)>count(index) ) then
index := i;
nếu kết thúc;

end loop;
----- check if all different --------------------
if( count(0)=1 and count(1)=1 and count(2)=1 and count(3)=1) then
error<='1'; -- if all different error
nếu kết thúc;

------------------------------------------------ sampleddata<=oldsample(index); -- output most frequent

ready<='1';
counter <= 0;
else
ready<='0';
error<='0';
nếu kết thúc;-- sampleddat0<=oldsample(0);
-- sampleddat1<=oldsample(1);
-- sampleddat2<=oldsample(2);
-- sampleddat3<=oldsample(3);

nếu kết thúc;kết thúc quá trình;end Behavioral;
 

Welcome to EDABoard.com

Sponsor

Back
Top