giúp tôi với fwrite và fopen lệnh trong Verilog

D

deqingTK

Guest
Tôi đã cố gắng trên mã Verilog HDL để thực hiện một bản in notepad sau đây.

1 2 3 4 5
1 2 3 4 5Số trong các tập tin, mà kết thúc lúc 5 như trên đề cập đến, phải có khả năng sửa đổi một cách dễ dàng như là truy cập có thể cần phải đếm 1-32.

Tôi đã thử rất nhiều lần, và tôi chỉ nhận được một trống. Txt file notepad.

Tôi vẫn còn mới để Verilog, và fwrite và lệnh fopen.Tôi hy vọng một ai đó vui lòng trả lời câu hỏi của tôi.

Cảm ơn rất nhiều =)

 
Mà giả lập bạn đang sử dụng?Nếu bạn đang chạy một mô phỏng dựa Verilog-Windows (như Modelsim / PE), sau đó nhớ thực hiện $ fclose () ở phần cuối của chương trình Verilog của bạn.Nếu không, hệ điều hành (Windows) sẽ không cập nhật / phát hành mở cho đến khi bạn bỏ thuốc lá textfile giả lập.

 
Yup, tôi đang sử dụng modelsim cho Windows.Sau khi tôi đóng giả lập, nó vẫn còn trống, vì vậy tôi nghĩ rằng theres điều gì đó sai trái với chương trình của tôi.Có bất cứ mẫu hoặc ví dụ mã tôi có thể tham khảo?

 
có thể bạn có thể dán code Verilog của bạn và chúng tôi sẽ giúp để phân tích nó

 

Welcome to EDABoard.com

Sponsor

Back
Top